Verilog
  url
  pkgsrc
  and2
  7seg
    7seg.v
    test.v
    compile
    exec
  4 bit counter
  16bit counter

software
Last Update: "2014/03/19 15:02:06 makoto"

and2

Verilog HDL 入門
http://cas.eedept.kobe-u.ac.jp/~arai/Verilog/
Duplicate above page
にあるのと同じことをやって見ます
Prepare two input files:
次の二つを用意しておいて
and2.v
/* AND2 */

module AND2 ( A, B, X );

input A, B;
output X;
      and AAA (X, A, B);
endmodule
and2test.v
/* AND2 test bench */
module AND2TEST;
reg a, b;
wire out;

AND2 bbb (a, b, out);

initial begin
      $dumpfile ("and2test.vcd");
      $dumpvars (0, AND2TEST);
      $monitor ("%t: a = %b, b = %b, out = %b", $time, a, b, out);

             a = 0; b = 0;
      #10   a = 1;
      #10   a = 0; b = 1;
      #10   a = 1;
      #10   a = 0; b = 0;
      #10   $finish;
end
endmodule
Type following command in your shell
次のように入力します
 iverilog -v -o and2 -s AND2TEST and2.v and2test.v ;
 vvp and2 ;
 gtkwave and2test.vcd
You will see the input/output waveforms:
次のような波形を見られます
Last Update: Wed, 01 Apr 2015 02:38:26 GMT 1.66 2008/03/08